piston/packages/iverilog/11.0.0/test.verilog

8 lines
90 B
Plaintext

module hello;
initial
begin
$display("OK");
$finish ;
end
endmodule