module hello; initial begin $display("OK"); $finish ; end endmodule